Program

  • Program Schedule is in JST(UTC+9)
  • Program Schedule is subject to change
Day 1Day 2

DAY1 – December 12th

Room : KFC Hall

Plenary Session

8:15 Registration
8:45 Opening
MC: Shuichi Inoue, ISSM Organizing Committee Vice Chair
Opening Remarks by Shozo Saito, ISSM Organizing Committee Chair
Conference Outline by Ayako Shimazaki, ISSM Executive Committee Chair
Program Schedule by Shin-ichi Imai, ISSM Program Committee Chair
9:00 Tutorial Speech-1
Challenges of Plasma Science and Technology for Green Semiconductor Manufacturing

Dr.Masaru Hori, Nagoya University
Session Co-Chairs: Ayako Shimazaki, Toshiba Nanoanalysis / Tsuyoshi Moriya, Tokyo Electron
Presentation will be in Japanese. Interpretation into English will be provided.
9:40 Break
9:50 Tutorial Speech-2
Atomic-level control of plasma processing toward sub-nm node technologies

Dr. Satoshi Hamaguchi, Osaka University
Session Co-Chairs: Ayako Shimazaki, Toshiba Nanoanalysis / Tsuyoshi Moriya, Tokyo Electron
Presentation will be in Japanese. Interpretation into English will be provided.
10:30 Break
10:40 Technical Session A-1 & B-1
12:00 Sponsor Exhibition (on-site) & Video (Sponosr: Silver-Platinum) / Lunch Break
12:50 Keynote Speech-1
Cutting Edge Technologies of Power Semiconductor Device Applications for Electric Vehicle’s Power Electronics Systems in Japan, US, Europe and China Case

Dr. Masayoshi Yamamoto, Nagoya University
Session Chair: Kazunori Kato, Advanced Interface Technology
13:30 Break
13:40 LIVE ONLY NO Q&A / NO ON-Demand
Keynote Speech-2
The Contribution and Expectation of TSMC Japan 3DIC R&D Center to Japan Industry

Mr. Yutaka Emoto, TSMC Japan 3DIC R&D Center, Inc.
Session Chair: Kazuhito Matsukawa, SUMCO
14:20 Break

Room 1 : KFC Hall

Session A-1 : Manufacturing Strategy (MS) & Environment, Safety and Health, Carbon Neutral (ES) & Fab Operation Method (FO)

Session Co-Chairs: Katsutoshi Ozawa, OMRON / Takahiro Tsuchiya, United Semiconductor Japan

10:40 MS-44 : A Novel Approach to Dynamic Line Balance Control and Scheduling with a Digital Twin Production System
Hirofumi Tsuchiyama, INFICON
11:00 ES-62 : Self-tuning optimization to compatible the delivery and low energy consumption
Chending MAO, University of Tsukuba
11:20 ONLINE
FO-43 : Maintenance Content Reduction and Digitalization for Performance Optimization

Christopher Bode, INFICON
11:40 Author’s Interview (On-Site ONLY) & Break
12:00 Sponsor Exhibition (on-site) & Video (Sponosr: Silver-Platinum) / Lunch Break
12:50 – 14:30 Plenary Session

Session A-2: Invited & Highlight AI

Session Co-Chairs: Isamu Namose, OMRON / Takatoshi Yasui, Tower Partners Semiconductor

14:30 Invited
EDTM-01 : Inter Spike Interval and Stochasticity Engineering of Floating Gate Technology-based Neurons for Spiking Neural Network Hardware

Akira Goda, University of Tokyo
14:50 YD-21 : Noise Reduction in SEM Images using Deep Learning
Yuki Sato, Tokyo Electron
15:10 ID-6 : Application of Natural Language Processing in Semiconductor Manufacturing
Daisuke Kobayashi, Sony Semiconductor Manufacturing Corporation
15:30 Author’s Interview & Exhibition (On-Site ONLY)& Break

Session A-3: Highlight AI

Session Co-Chairs: Takatoshi Yasui, Tower Partners Semiconductor / Masami Aoki, KLA-Tencor Japan

16:00 YD-10 : Positive/Negative Decision via Outlier Detection Towards Automatic Performance Evaluation for Defect Detector
Toshinori Yamauchi, Hitachi High-Tech
16:20 YD-15 : A Study on Detection Method Using 2-Class Classifiers for Defective Wafer Maps
Seima Sakaguchi, Mie University
16:40 ONLINE
YD-5 : Yield prediction with Machine Learning and parameter limits in semiconductor production

Rebecca Busch, University of Siegen
17:00 Author’s Interview (On-Site ONLY) & Break

Session A-4: Invited & Yield & Defect Control (YD) & Manufacturing Technology for Variety Devices (VD)

Session Co-Chairs: Kenji Watanabe, Western Digital / Tomio Otsuki, JX Nippon Mining & Metals

17:20 Invited ONLINE
IITC-01 : Dual Damascene 28nm‐Pitch Single Exposure EUV Design Rules Evaluation by Voltage Contrast Characterization

Victor M. Carballo, IMEC
17:40 ONLINE
YD-35 : Automatic classification of C-SAM voids for root cause identification of bonding yield degradation

Julien Baderot, Pollen Metrology
18:00 VD-33 : Hydrogen diffusion behavior of CH4N-molecular-ion-implanted wafers for 3D-stacked CMOS image sensors
Ryosuke Okuyama, SUMCO
18:20 Author’s Interview (On-Site ONLY) & Break
18:40

Room2: KFC Hall Annex

Session B-1: Invited & Process/Material Optimization (PO)

Session Co-Chairs: Tsuyoshi Moriya, Tokyo Electron / Hiroyuki Inoue, Texas Instruments Japan

10:40 Invited
EDTM-02 : Experimental Analysis of Process Impacts on Fluorine Incorporated Gate Oxide Film Properties Near Gate Edge Region

Shuntaro Fujii, Asahi Kasei
11:00 PO-63 : Nanoimprint Lithography with CO2 Ambient
Toshiki ITO, Canon
11:20 PO-11 : Impact of cation vacancies on leakage current on TiN/ZrO2/TiN capacitors studied by positron annihilation
Akira Uedono, University of Tsukuba
11:40 Author’s Interview (On-Site ONLY) & Break
12:00 Sponsor Exhibition & Video (Sponosr: Silver-Platinum) / Lunch Break
12:50 – 14:30 Plenary Session

Session B-2: Invited & Process/Material Optimization (PO)

Session Co-Chairs: Kazunori Kato, Advanced Interface Technology / Shun-ichiro Ohmi, Tokyo Institute of Technology

14:30 Invited ONLINE
IITC-02 : TSV fabrication technology using direct electroplating of Cu on the electroless plated barrier metal

Shoso Shingubara, Kansai University
14:50 PO-42 : Ultra-fast Etching of Photoresist by Reactive Atmospheric-pressure Micro-Thermal Plasma Jet
Hibiki Kato, Hiroshima University
15:10 ONLINE
PO-28 : Optimization of RF frequencies in dual-frequency capacitively coupled plasma apparatus using genetic algorithm (GA) and plasma simulation

Shigeyuki Takagi, Tokyo University of Technology
15:30 Author’s Interview & Exhibition (On-Site) & Break

Session B-3: Invited & Process/Material Optimization (PO)

Session Co-Chairs: Shun-ichiro Ohmi, Tokyo Institute of Technology / Yuji Yamada, KIOXIA

16:00 Invited
EDTM-03 : Temperature Dependence of Current-Voltage Characteristics of Ionic Liquid Type Intelligent Connection Device

Masakazu Kobayashi, Nagase
16:20 PO-40 : Deposition rate dependence of the 5 nm-thick ferroelectric nondoped HfO2 on MFSFET characteristics
Masakazu Tanuma, Tokyo Institute of Technology
16:40 ONLINE
PO-55 : Process Optimization for Ge-on-Si depletion mode transistors using mesa architecture

Sumit Choudhary, Indian Institute of Technology, (IIT), Mandi
17:00 Author’s Interview (On-Site ONLY) & Break

Session B-4: Material Informatics (MI) & New Gas, New Liquid, and New Resist Technologies (NM)

Session Co-Chairs: Shinsuke Mizuno, Applied Materials Japan / Takanori Kawakami, JSR

17:20 ONLINE
MI-25 : Systematic search for stabilizing dopants in ZrO2 and HfO2 using first-principles calculations

Yosuke Harashima, Nara Institute of Science and Technology
17:40 NM-23 : Recent status of EUV lithography, what is the stochastic issues ?
Toru Fujimori, FUJIFILM
18:00 NM-45 : Technology Trends and Characteristics of Patent Information Disclosure in Advanced Semiconductor Photoresist
Kosuke Watahiki, Yamaguchi University
18:20 Author’s Interview(On-Site ONLY) & Break
18:40

DAY2 – December 13th

Room : KFC Hall

Plenary Session

8:30 Registration
8:50 Program Outline by ISSM program Committee Chair
9:00 ONLINE
Keynote Speech-3
The Path to 100 Billion Goes Upward – IBM Research Semiconductors Technology Atlas

Dr.Nelson Felix, IBM
Session Chair: Yasutoshi Okuno, SCREEN Semiconductor Solutions
9:40 Break
9:50 ONLINE
Keynote Speech-4
A Requirements Driven Digital Framework to Support Semiconductor Manufacturing: Specifications and Opportunities

Dr.James Moyne, AMAT / University of Michigan
Session Chair: Shuichi Inoue, ATONARP
10:30 Break
10:40 ONLINE
Keynote Speech-5
Heterogeneous Integration Paving the way for Microelectronics Resurgence

Mr.Timothy Lee, IEEE Board of Director
IEEE HIR Chair for 5G Technical Working Group
Session Chair: Kenji Miyake, PMT
11:20 Sponsor Exhibition & Video (Sponosr: Silver-Platinum) / Lunch Break
12:10 Keynote Speech-6
Decarbonization Management to Improve Corporate Value

Mr.Michitaka Tokeiji, Zeroboard. Inc
Session Chair: Hiroshi Akahori, KIOXIA
12:50 Break
13:00 AI Contest Award
Session Co-Chairs: Shin-ichi Imai, Hitachi High-Tech / Isamu Namose, OMRON
14:00 Break
14:10 3mins Flash Presentation by Interactive Poster Speakers
Session Co-Chairs: Takanori Kawakami, JSR / Toshio Konishi, Toppan Photomask
  • MS-57 : Data-driven Modeling for Production Dynamics
    Yu Sasaki, University of Tsukuba
  • PO-46 : Obtaining carbon nanowalls with a specified morphology
    Yerassyl Yerlanuly, Kazakh-British Technical University
  • YD-20 : Influence of High Temperature N2 Annealing on Photoluminescence of SiC and Si Quantum Dots in SiO2 Layer
    Koki Murakawa, Kanagawa University
  • ONLINE
    PO-59 : Experimentally study on the effect of RIE etching power on etching rate of β-Ga2O3 thin film

    Wang Xu, Guizhou University
  • ONLINE
    VD-60 : Preparation of Uniform SiO2 Insulating Layer on the Inner Wall of TSV by Thermal Oxidation

    GuoFengJie, Guizhou University
14:40 Sponsor Exhibition (On-site) & Break

Room 1 : KFC Hall

Session A-5: Intelligent Data Management (ID)

Session Co-Chairs: Masami Aoki, KLA-Tencor Japan / Hiroyuki Inoue, Texas Instruments Japan

15:00 ID-13 : Advanced Process Control Model for Trench Shape of Power Devices
Takumi Ito, TOSHIBA DEVICE & STORAGE
15:20 ID-17 : Principal Component Analysis based GaN transistor live health monitoring
Florian Chalvin, Rohm
15:40 ONLINE
ID-27 : Application of Big Data Science in High Reliability Automotive Wafer Yield Management System and Failure Analysis

Chia-Cheng Kuo, Taiwan Semiconductor Co., Ltd.
16:00 Author’s Interview (ON-Site ONLY) & Break

Session A-6: Intelligent Data Management (ID)

Session Co-Chairs:Yuji Yamada, KIOXIA / Takayuki Hisamatsu, Sony Semiconductor Manufacturing

16:20 ID-32 : Equipment Sensor Data Cleansing Algorithm Design for ML-Based Anomaly Detection
Shi-Chung Chang, National Taiwan University
16:40 ID-26 : Dynamic AI Computation Tasks with SECS/GEM in Semiconductor Smart Manufacturing
Hung H Nguyen, Yield Engineering Systems
17:00 ID-36 : Secure and Reliable Power Monitoring for Low Consumption Factory Equipment via Programmable IoT Devices
Sergio Garnica, Fraunhofer Research Institution for Microsystems and Solid State Technologies EMFT
17:20
17:40 Author’s Interview (ON-Site ONLY) & Break
18:00 Poster Session (On-Site)
18:25 ISSM Awards
18:40

Room2: KFC Hall Annex

Session B-5: Process Monitoring & Control Method (PM)

Session Co-Chairs: Takahiro Tsuchiya, United Semiconductor Japan / Takayuki Matsumoto, United Semiconductor Japan

15:00 PM-22 : Characterization of light propagation loss in Si Photonics using High-Resolution CDSEM metrology
Shimon Halevi, Applied Materials
15:20 PM-41 : In Situ Measurement and Analysis of Low Pressure Gas Concentration Distribution Using 70-dB SNR 1,000 Frames-per-second Absorption Imaging System
Yushi Sakai, Tohoku University
15:40 PM-18 : Advanced Process Monitoring through Fault Detection and Classification for Robust Statistical Process Control of Tantalum Nitride Reactive Sputtering
Stephanie Y Chang, Skyworks Solutions
16:00 Author’s Interview (ON-Site ONLY) & Break

Session B-6: Process Monitoring & Control Method (PM)

Session Co-Chairs: Shin-ichi Imai, Hitachi High-Tech / Tsuyoshi Moriya, Tokyo Electron

16:20 PM-30 : Plasma Process Classification using Causal Discovery Technique
Dai Kobayashi, Tokyo Electron
16:40 PM-14 : Practical load impedance monitoring system externally installed in plasma etching equipment
Yuji Kasashima, National Institute of Advanced Industrial Science and Technology
17:00 PM-31 : Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas
Shih-Nan Hsiao, Nagoya University
17:20 PM-49 : A Study on Robust Noninteracting Control System Design with Disturbance Feedforward for 6-DoF AVIS
Thinh Huynh, Pukyong National University
17:40 Author’s Interview (ON-Site ONLY) & Break
18:00 Poster Session (On-Site ONLY)
18:25 ISSM Awards
18:40