Call for papers

English(PDF: 259 KB)
Japanese(PDF: 834 KB)

Submit

ISSM 2024 Abstract Template

ISSM 2024 Abstract Template (MS WORD)

Important Date

Abstract Submission Start end of May 2024
Abstract Submission Due: Mon., Jul. 29, 2024
Notification of Paper Acceptance: Fri., Sept. 20, 2024

Areas of Interest

Abstract will be accepted for each of following areas of interest.
For the detail of the each areas, click here

Fab Management

  • Factory Design (FD)
  • Manufacturing Strategy (MS)
  • Manufacturing Control and Execution (MC)
  • Environment, Safety and Health, Carbon Neutral (ES)
  • Intelligent Data Management (ID)

Process Integration

  • Process/Material Optimization (PO)
  • Yield Enhancement and Methodology (YE)
  • Ultraclean Technology and Contamination Control (UC)
  • Process Monitoring and Control Method (PC)
  • Process/Metrology Equipment (PE)
  • Design for Manufacturing (DM)
  • Manufacturing Technology for Variety Devices (VD)

3D, Chiplets & Advanced Packaging

  • 3D, Chiplet, and Advanced Packaging Technology (TP)

Highlighted Theme

Papers on the topics of special interests may be programmed as a special session for highlight themes. Papers on the following topics are especially welcome. See more information on the reverse side.
For the detail of each highlight theme, click here

IoT and AI Solution

  • Big Data Science in Fab
  • IoT and Sensing Technology
  • AI Application

Next Generation Fab

  • Smart Factory and GX
  • Sophisticated Transportation including PKG
  • Physical Analysis Automation and Short Development Period

Sustainable Manufacturing and Promotion of Global Environmental Conservation

  • High Energy Efficiency and Emission Reduction
  • Return of Investment in Legacy Fab

3D Packaging and Chiplet Integration: New Horizon from Integration to Testing

  • Chiplet, Substrate, Interposer, 3D Interconnection, Insulator between Layers, Plating Process

ISSM Awards

The ISSM Awards to be presented to the excellent papers.

ISSM Best Papers for IEEE/TSM

Best papers for ISSM will have the chance to submit full papers for IEEE/TSM (Transactions on Semiconductor Manufacturing) which is published quarterly for worldwide distribution. About ten papers are annually selected and reported in ISSM/TSM special session.

Details for the Areas of Interest

Fab Management

FD: Factory Design
This area focuses on fab design and its key enablers to meet the flexibility, extendibility, and scalability needs of a cost-effective leading-edge fab.
MS: Manufacturing Strategy
This area focuses on strategy and concepts for more functional fab, and its operation management to meet rapidly changing complex business requirements.
MC: Manufacturing Control and Execution
This area focuses on manufacturing execution and decision support systems, factory scheduling, control of equipment/materials handling systems and queue time management.
ES: Environment, Safety and Health, Carbon Neutral
This area focuses on zero greenhouse gas emissions, suppression of energy and materials consumption, recycling and reuse of materials from the standpoint of the environmental management in a semiconductor factory in order to achieve a decarbonized society.
ID: Intelligent Data Management
This area focuses on analysis methods and systems for big data collected in the fab.

Process Integration

PO: Process Optimization
This area focuses on process and material optimization from the standpoint of high reliability, cost reduction and environment. The breakthrough technology to improve the productivity of legacy process is contained.
YE: Yield Enhancement and Methodology
This area focuses on probe yield enhancement and its stabilization technology including inspection, analysis and reduction of defects and particles. Reports for the Zero‐Defect process would be especially attractive.
UC: Contamination Control and Ultraclean Technology
This area focuses on new technology for damage-less particle removal, contamination control of wafer backside and bevel, surface cleaning for new materials and fine structure. Energy saving cleaning and molecular level contamination control in advanced wafer fab will be included.
PC: Process Control and Monitoring
This area focuses on tighter process control for advanced production as well as mature fab, to achieve higher productivity, higher uptime, quality enhancement by advanced equipment control/advanced process control (AEC/APC), FDC, e-diagnostics and new sensors. This area also covers accuracy enhancement and smart process control using virtual metrology for advanced nanoscale device manufacturing, 3D profile/high aspect ratio structure control and excursion control for stable equipment.
PE: New Process/Metrology Equipment
This area focuses on finer pattern delineation/control. The application of metrology equipment control and engineering system will be highlighted.
DM: Design for Manufacturing
This area focuses on the collaboration between manufacturing and design including RET, OPC, systematic defects, and other approach to improve productivity from design.
VD: Manufacturing Technology for Variety Devices
This area focuses on manufacturing technologies specialized for emerging variety devices in MEMS, power devices, and CMOS sensors areas which can be realized by technologies not limited to miniaturization or large-diameter wafers.

3D, Chiplets & Advanced Packaging

TP:3D, Chiplet, and Advanced Packaging Technology
This area focuses on innovative approaches to advanced packaging manufacturing processes, materials, equipment, automated delivery systems, interposers, substates, interconnection approaches, and testing methods.

Details for the Highlight Theme

IoT and AI Solution

In recent years, data utilization has become common knowledge in many manufacturing industries, and the evolution of IoT for data collection and AI applications using collected data has attracted much attention. In semiconductor manufacturing, research and development of various manufacturing technologies utilizing data have been carried out for over 30 years. Furthermore, in order to rapidly expand production due to increased demand for semiconductor chips, it is expected to utilize new tools such as generative AI during the start-up phase of production lines. Papers on the application of IoT and AI to create breakthrough manufacturing technologies are welcomed. We also hope to provide opportunities for people in other industries to learn about the current state of IoT and AI use in semiconductor manufacturing, and to discuss smart manufacturing using AI.
Topics may include (but not limited to): Big Data Science in Fab, IoT and Sensing Technology, AI Application, Bayesian Design, Machine Learning, Deep Learning, Material Informatics, AI Tool, Verbalization of Know-How.

Next Generation Fab

Under international cooperation, many semiconductor fabs, not only in Japan, have become a construction rush. Next generation fabs require new concepts, and device manufacturing requires thorough energy conservation from development of devices to manufacturing, consistent cycle time reduction from device design to wafer manufacturing to 3D assembly, and AI implementation. The development and deployment of a wide range of technologies required to solve these challenges will be discussed.
Topics may include (but not limited to): Smart factory, advanced delivery including PKG, automation of physical analysis and reduction of development time, GX.

Sustainable Manufacturing and Promotion of Global Environmental Conservation

Demand for semiconductor chips is increasing, and the use of energy, water, and materials (chemicals, gases, etc.) in semiconductor manufacturing continues to increase. For sustainable semiconductor manufacturing, it is essential to design factories, processes, and recycling that are more environmentally friendly, such as DX and GX. Reducing the use of chlorofluorocarbons, organic solvents, and ultrapure water is also a major challenge for promoting global environmental conservation. Design policies and issues to realize economic efficiency and productivity toward the realization of high efficiency fabs will be discussed.
Topics may include (but not limited to): Energy efficiency, emissions reduction and recycling, return of investment issues in legacy fabs.

3D Packaging and Chiplet Integration: New Horizon from Integration to Testing

3D packaging and chiplet integration technologies are taking the performance and efficiency of electronic devices to the next level. Innovative approaches to advanced packaging manufacturing processes, materials, equipment, automated delivery systems, interposers, substates, interconnection approaches, and testing methods will be discussed.
Topics may include (but not limited to): Chiplet integration technology, substrate, interposer, 3D connection technology, interlayer insulation film, plating process.