Call for papers

English(PDF: 259 KB)
Japanese(PDF: 834 KB)

Thank you for your submission for ISSM2022.
The Abstract submission has been closed.

Important Date

Abstract Submission StartMon., Jun. 20, 2022
<Re-Extended> Abstract Submission Due:Mon., Sep. 19, 2022
<Re-Extended> Notification of Paper Acceptance:Tue., Oct. 11, 2022
Final (Camera-Ready) Paper for distribution:Mon., Nov 21, 2022

Areas of Interest

Abstract will be accepted for each of following areas of interest.
For the detail of the each areas, click here

Fab Management

  • Factory Design (FD)
  • Material Handling Management (MH)
  • Manufacturing Strategy (MS)
  • Fab Operation Method (FO)
  • Environment, Safety and Health, Carbon Neutral (ES)
  • Worker and WIP Control (WC)
  • Intelligent Data Management (ID)

Material Technology

  • New Gas, New Liquid, and New Resist Technologies (NM)
  • Material Informatics (MI)
  • New Parts Technology for Process Equipment (NP)

Process Integration

  • Process/Material Optimization (PO)
  • Process Monitoring & Control Method (PM)
  • Yield & Defect Control (YD)
  • Ultraclean Technology (UT)
  • New Process/Metrology Equipment (PE)
  • Manufacturing Technology for Variety Devices (VD)

Final Manufacturing & Packaging

  • Heterogeneous Integration and Packaging (HI)
  • Improvement of Conventional Final Manufacturing Technology (FM)
  • Signal and Power Integrity (SI)

Highlighted Theme

Papers on the topics of special interests may be programmed as a special session for highlight themes. Papers on the following topics are especially welcome.
For the detail of each highlight theme, click here

IoT and AI Solution

  • Big Data Science in Fab
  • IoT and Sensing Technology
  • AI Application

Production Innovation in 200-mm Fab

  • Optimization of Legacy Fab
  • Fab Management and Utilization of 200mm Fab
  • Utilization for Power Devices (IGBT, SiC) Manufacturing

High Reliability Device Process Technology for Automotive and Medical Applications

  • Technology for an Effective Screening
  • Technology for an Outlier Screening
  • Rapid Failure Analysis for an Incident

Game-Changing Manufacturing Technologies with Heterogeneous Integration

  • New Packaging Technologies for High-end CPU used for Data Center and HPC (High Performance Computing)
  • Signal Integrity
  • Power Integrity

ISSM Awards

The ISSM Awards to be presented to the excellent papers

ISSM Best Papers for IEEE/TSM

Best papers for ISSM will have the chance to submit full papers for IEEE/TSM (Transactions on Semiconductor Manufacturing) which is published quarterly for worldwide distribution. About ten papers are annually selected and reported in ISSM/TSM special session.

Details for the Areas of Interest

Fab Management

FD: Factory Design
This area focuses on fab design and its key enablers to meet the flexibility, extendibility, and scalability needs of a cost-effective leading-edge fab.
MH: Material Handling Management
This area focuses on challenges and measures for transport system control of equipment, materials, and products.
MS: Manufacturing Strategy
This area focuses on strategy and concepts for more functional fab, and its operation management to meet rapidly changing complex business requirements.
FO: Fab Operation Method
This area focuses on optimization of operating methods such as lot-base progress management, dispatching, and cycle time management for the entire fab.
ES: Environment, Safety and Health, Carbon Neutral
This area focuses on zero greenhouse gas emissions, suppression of energy and materials consumption, recycling, and reuse of materials from the standpoint of the environmental management in a semiconductor factory in order to achieve a decarbonized society.
WC: Worker and Wafer-in-Process (WIP) Control
This area focuses on WIP control system and operator allocation management in wafer processing for cost reduction.
ID: Intelligent Data Management
This area focuses on analysis methods and systems for big data collected in the fab.

Material Technology

NM: New Gas, New Liquid, and New Resist Technologies
This area focuses on new process gases, chemicals, and EUV resist technology.
MI: Material Informatics
This area focuses on gas process design technologies using AI and machine learning.
NP: New Parts Technology for Process Equipment
This area focuses on new parts technologies for process equipment such as wafer fixing parts.

Process Integration

PO: Process Optimization
This area focuses on process and material optimization from the standpoint of high reliability, cost reduction and environment. The breakthrough technology to improve the productivity of legacy process is contained.
PM: Process Monitoring & Control Method
This area focuses on advanced process control (APC) technology such as visualization of sensor data of manufacturing equipment and virtual metrology.
YD: Yield & Defect Control
This area focuses on probe yield enhancement and its stabilization technology including inspection, analysis and reduction of defects and particles.
UT: Ultraclean Technology
This area focuses on contamination control of wafer backside and bevel, surface cleaning. Energy saving cleaning and molecular level contamination control in advanced wafer fab will be included.
PE: New Process/Metrology Equipment
This area focuses on finer pattern delineation/control. The application of equipment control and engineering system will be highlighted.
VD: Manufacturing Technology for Variety Devices
This area focuses on manufacturing technologies specialized for emerging variety devices in MEMS, power devices, and CMOS sensors areas which can be realized by technologies not limited to miniaturization or large-diameter wafers.

Final Manufacturing & Packaging

HI: Heterogeneous Integration and Packaging
This area focuses on technologies for heterogeneous integration such as chiplets and new packaging technologies for high-end CPU which is used for data center and HPC (High Performance Computing).
FM: Improvement of Conventional Final Manufacturing Technology
This area focuses on improved technologies for Wafer on Wafer, Chip on Wafer, FOWLP (Fan Out Wafer Level Package) and FOPLP (Panel Level Package) . And the other improved technologies for antenna, RF module and magnetic shield will be discussed either.
SI: Signal and Power Integrity
This area focuses on technologies for signal integrity and power integrity.

Details for the Highlight Theme

IoT and AI Solution

In recent years, the data utilization has become common sense in many manufacturing industries. The IoT for data collection and AI applications using the collected data have received great attention. For more than 30 years in semiconductor manufacturing, research and development and commercialization of various manufacturing technologies utilizing data have been well performed. AI tools and application case studies were introduced at the ISSM Strategy Forum in 2019, under the theme of “Smart Factory with AI! From Machine Learning with Open Source to Applying AI to Semiconductor Manufacturing”. Papers related the application of IoT and AI to create breakthrough manufacturing technologies are welcomed. The scope covers the utilization of semiconductor manufacturing to other manufacturing industries.
Topics may include (but not limited to): Big Data Science in Fab, IoT and Sensing Technology, AI Application, Bayesian Design, Machine Learning, Deep Learning, Material Informatics, AI Tools

Production Innovation in 200-mm Fab

To strengthen device competitiveness specially for emerging IoT devices, it is essential to pursue economics in 200 mm fab and to boost productivity. The strategies and issues of capital equipment for efficient fab will be discussed.
Topics may include (but not limited to): Optimization of Legacy Fab, Fab Management and Utilization of 200mm Fab, Utilization for Power Devices (IGBT, SiC) Manufacturing

High Reliability Device Process Technology for Automotive and Medical Applications

Semiconductor industry considers automotive and medical devices as growing markets. These markets require superior reliability, which makes improving device reliability essential.
Semiconductor manufacturing technology for highly reliable devices shall be the key to revive semiconductor industry.
Topics may include (but not limited to): Technology for an Effective Screening, Technology for an Outlier Screening, Rapid Failure Analysis for an Incident

Game-Changing Manufacturing Technologies with Heterogeneous Integration

The heterogeneous integration which is required for high-end CPUs for data center and HPC (High Performance Computing) gets attention. It is considered that the new technology and packaging process will be driven which is not the improvement of conventional packaging technology. These new technologies including how to get high quality signal and power in the package will be discussed.
Topics may include (but not limited to):Chiplets, Substrate for Chiplets, Chip on Wafer, Signal Integrity, Power Integrity